Lavoisier S.A.S.
14 rue de Provigny
94236 Cachan cedex
FRANCE

Heures d'ouverture 08h30-12h30/13h30-17h30
Tél.: +33 (0)1 47 40 67 00
Fax: +33 (0)1 47 40 67 02


Url canonique : www.lavoisier.fr/livre/chimie/materials-and-processes-for-next-generation-lithography/descriptif_3779951
Url courte ou permalien : www.lavoisier.fr/livre/notice.asp?ouvrage=3779951

Materials and Processes for Next Generation Lithography Frontiers of Nanoscience Series

Langue : Anglais
Couverture de l’ouvrage Materials and Processes for Next Generation Lithography

As the requirements of the semiconductor industry have become more demanding in terms of resolution and speed it has been necessary to push photoresist materials far beyond the capabilities previously envisioned. Currently there is significant worldwide research effort in to so called Next Generation Lithography techniques such as EUV lithography and multibeam electron beam lithography.

These developments in both the industrial and the academic lithography arenas have led to the proliferation of numerous novel approaches to resist chemistry and ingenious extensions of traditional photopolymers. Currently most texts in this area focus on either lithography with perhaps one or two chapters on resists, or on traditional resist materials with relatively little consideration of new approaches.

This book therefore aims to bring together the worlds foremost resist development scientists from the various community to produce in one place a definitive description of the many approaches to lithography fabrication.

1. Overview of materials and processes for lithography 2. Molecular excitation and relaxation of extreme ultraviolet lithography photoresists 3. Theory: Electron-induced chemistry 4. EUV lithography process challenges 5. EUV lithography patterning challenges 6. The chemistry and application of nonchemically amplified (non-CA) chain-scission resists 7. Chemically amplified resists and acid amplifiers 8. Negative-tone organic molecular resists 9. Positive molecular resists 10. Mainstreaming inorganic metal-oxide resists for high-resolution lithography 11. Molecular organometallic resists for EUV (MORE) 12. SML electron beam resist: Ultra-high aspect ratio nanolithography 13. Alternative resist approaches 14. Next generation lithography—the rise of unconventional methods? 15. Tip-based nanolithography methods and materials 16. Thermal scanning probe lithography 17. Scanning helium ion beam lithography

Lithographers, chemists and device fabricators from the Semiconductor industry, microelectromechanical systems industry, and from device and micro/nanotechnology research in academia.

  • Assembles up-to-date information from the world’s premier resist chemists and technique development lithographers on the properties and capabilities of the wide range of resist materials currently under investigation
  • Includes information on processing and metrology techniques
  • Brings together multiple approaches to litho pattern recording from academia and industry in one place

Date de parution :

Ouvrage de 634 p.

19x23.3 cm

Disponible chez l'éditeur (délai d'approvisionnement : 14 jours).

155,28 €

Ajouter au panier

Thèmes de Materials and Processes for Next Generation Lithography :

Mots-clés :

Achromatic Talbot lithography; Acid diffusion; Active cantilever; Auger; Calixarene; Cationic polymerization; Ceiling temperature; Chain-scission resists; Chemically amplified resist; Closed-scanning probe lithography; E-beam resist; Electric-field current-controlled scanning probe lithography; Electron attachment; Electron beam lithography; Electron beam resist; Epoxide; EUV interference lithography; EUV lithography; EUV resist; EUV; Exposure tools; Extreme ultraviolet; Focused ion beam (FIB)Gas field ion source (GFIS)Helium ion beam lithography (HIBL)Helium ion microscope (HIM)High-resolution patterning; Fullerene; HafSOx; High-absorbance resists; HSQ; Hybrid materials; Impact excitation; Impact ionization; Inorganic resist; Inorganic; LER; Line-edge roughness; Line-width roughness; Lithography; Low-absorbance resists; Low-energy electrons; Metal oxide resist; Metal-oxide resist; Molecular glasses; Molecular relaxation; Molecular resists; Monte Carlo simulations; MORE; Nanofabrication; Nanoparticle resist; Negative tone; Negative-tone EUV resist; Non-CA resists; Noria; Novel EUV resists; Novel materials; Optical lithography; Organic electronics; Organometallic; PAG binding; Pattern collapse mitigation; Pattern collapse; Pattern transfer; Photoacid generator; Photolithography; Photoresist; Polyanhydrides; Polycarbonates; Polyesters; Polymethacrylates; Polyphenol; Poly-phthalaldehyde; Polysulfones; Positive resists; Positive tone EUV resist; PPA; Proximity effect; Radiation chemistry; Rapid prototyping; Rational design; Resist metrics; Resist; Resolution limits; Scanning probe lithography; Single component resists; SML resist; Stochastics; Sub 10nm; Sub-10nm; SwissLitho; Thermal scanning probe lithography; Tip-based nanofabrication; Transfer processes; Triphenylene; Ultra-high aspect ratio