Lavoisier S.A.S.
14 rue de Provigny
94236 Cachan cedex
FRANCE

Heures d'ouverture 08h30-12h30/13h30-17h30
Tél.: +33 (0)1 47 40 67 00
Fax: +33 (0)1 47 40 67 02


Url canonique : www.lavoisier.fr/livre/autre/three-dimensional-integrated-circuit-design/pavlidis-vasilis-f/descriptif_3775081
Url courte ou permalien : www.lavoisier.fr/livre/notice.asp?ouvrage=3775081

Three-Dimensional Integrated Circuit Design (2nd Ed.)

Langue : Anglais

Auteurs :

Couverture de l’ouvrage Three-Dimensional Integrated Circuit Design

Three-Dimensional Integrated Circuit Design, Second Eition, expands the original with more than twice as much new content, adding the latest developments in circuit models, temperature considerations, power management, memory issues, and heterogeneous integration. 3-D IC experts Pavlidis, Savidis, and Friedman cover the full product development cycle throughout the book, emphasizing not only physical design, but also algorithms and system-level considerations to increase speed while conserving energy. A handy, comprehensive reference or a practical design guide, this book provides effective solutions to specific challenging problems concerning the design of three-dimensional integrated circuits.

Expanded with new chapters and updates throughout based on the latest research in 3-D integration:

  • Manufacturing techniques for 3-D ICs with TSVs
  • Electrical modeling and closed-form expressions of through silicon vias
  • Substrate noise coupling in heterogeneous 3-D ICs
  • Design of 3-D ICs with inductive links
  • Synchronization in 3-D ICs
  • Variation effects on 3-D ICs
  • Correlation of WID variations for intra-tier buffers and wires

1. Introduction 2. Manufacturing of 3-D Packaged Systems 3. 3-D Integrated Circuit Fabrication Technologies 4. Electrical Modeling and Closed-Form Expressions of Through Silicon Vias 5. Substrate Noise Coupling in Heterogeneous 3-D ICs 6. Design of 3-D ICs with Inductive Links7. Interconnect Prediction Models 8. Cost Issues for 3-D Integrated Systems9. Physical Design Techniques for 3-D ICs 10. Timing Optimization for Two-Terminal Interconnects 11. Timing Optimization for Multi-Terminal Interconnects 12. Thermal Modeling and Analysis 13. Thermal Management Strategies for 3-D ICs 14. Case Study: Thermal Effects in a prototype 3-D IC 15. Three-Dimensional Networks-on-Chip 16. Synchronization in 3-D ICs 17. Case Study: Clock distribution in 3-D ICs 18. Variation Effects on 3-D ICs 19. Power Delivery and Distribution for 3-D ICs20. Case Study: Power Distribution Networks in 3-D ICs 21. Conclusions and Future Prospects

AppendixA: Enumeration of Gate Pairs in a 3-D ICB: Formal Proof of Optimum Single Via PlacementC: Proof of the Two-Terminal Via Placement HeuristicD: Proof of Condition for Via Placement of Multi-Terminal Nets GlossaryE: Correlation of WID Variations for Intra-Tier Buffers F: Extension of the Proposed Model to Include Variations of Wires

VSLI design engineers, processor designers, researchers and practitioners in circuit design

Vasilis F. Pavlidis received the B.Sc. and M.Eng. degrees in Electrical and Computer Engineering from the Democritus University of Thrace, Greece, in 2000 and 2002, respectively. He received the M.Sc. and Ph.D. degrees in Electrical and Computer Engineering from the University of Rochester, Rochester, NY, in 2003 and 2008, respectively.

He is currently an Assistant Professor in the School of Computer Science at the University of Manchester, Manchester, UK. From 2008 to 2012, he was a post-doctoral fellow with the Integrated Systems Laboratory at the Ecole Polytechnique Fédérale de Lausanne, Lausanne, Switzerland. He was with INTRACOM S.A., Athens, Greece, from 2000 to 2002. He has also been a visiting researcher at Synopsys Inc., Mountain View, CA, with the Primetime group in 2007. His current research interests include interconnect modeling and analysis, 3-D and 2.5-D integration, and other issues related to VLSI design. He has published several conference and journal papers in these areas. He was the leading designer of the Rochester cube and co-creator of the Manchester Thermal Analyzer.

Dr. Pavlidis is on the editorial board of the Microelectronics Journal and Integration, the VLSI Journal. He also serves on the Technical Program Committees of several IEEE conferences. He is a member of the VLSI Systems & Applications Technical Committee of the Circuits and Systems Society and a member of the IEEE. He is also involved in public policy issues as a member of the ICT working group of the IEEE European Public Policy Initiative.
Ioannis Savidis received the B.S.E. degree in electrical and computer engineering and biomedical engineering from Duke University, Durham, NC, in 2005. He received the M.Sc. and Ph.D. degrees in electrical and computer engineering from the University of Rochester, Rochester, NY, USA, in 2007 and 2013, respectively.

He is currently an Assistant Professor with the Department of Electrical and Computer Engineering at Drexel Unive

  • Offers practical guidance on designing 3-D heterogeneous systems
  • Provides power delivery of 3-D ICs
  • Demonstrates the use of 3-D ICs within heterogeneous systems that include a variety of materials, devices, processors, GPU-CPU integration, and more
  • Provides experimental case studies in power delivery, synchronization, and thermal characterization

Date de parution :

Ouvrage de 768 p.

19x23.3 cm

Disponible chez l'éditeur (délai d'approvisionnement : 14 jours).

98,24 €

Ajouter au panier

Thèmes de Three-Dimensional Integrated Circuit Design :