Lavoisier S.A.S.
14 rue de Provigny
94236 Cachan cedex
FRANCE

Heures d'ouverture 08h30-12h30/13h30-17h30
Tél.: +33 (0)1 47 40 67 00
Fax: +33 (0)1 47 40 67 02


Url canonique : www.lavoisier.fr/livre/autre/advanced-nanoscale-ulsi-interconnects-fundamentals-and-applications/descriptif_3215428
Url courte ou permalien : www.lavoisier.fr/livre/notice.asp?ouvrage=3215428

Advanced Nanoscale ULSI Interconnects: Fundamentals and Applications, 2009

Langue : Anglais

Coordonnateurs : Shacham-Diamand Yosi, Osaka Tetsuya, Datta Madhav, Ohba Takayuki

Couverture de l’ouvrage Advanced Nanoscale ULSI Interconnects: Fundamentals and Applications
In Advanced ULSI interconnects ? fundamentals and applications we bring a comprehensive description of copper-based interconnect technology for ultra-lar- scale integration (ULSI) technology for integrated circuit (IC) application. In- grated circuit technology is the base for all modern electronics systems. You can ?nd electronics systems today everywhere: from toys and home appliances to a- planes and space shuttles. Electronics systems form the hardware that together with software are the bases of the modern information society. The rapid growth and vast exploitation of modern electronics system create a strong demand for new and improved electronic circuits as demonstrated by the amazing progress in the ?eld of ULSI technology. This progress is well described by the famous ?Moore?s law? which states, in its most general form, that all the metrics that describe integrated circuit performance (e. g. , speed, number of devices, chip area) improve expon- tially as a function of time. For example, the number of components per chip d- bles every 18 months and the critical dimension on a chip has shrunk by 50% every 2 years on average in the last 30 years. This rapid growth in integrated circuits te- nology results in highly complex integrated circuits with an increasing number of interconnects on chips and between the chip and its package. The complexity of the interconnect network on chips involves an increasing number of metal lines per interconnect level, more interconnect levels, and at the same time a reduction in the interconnect line critical dimensions.
Challenges in ULSI Interconnects - Introduction to the Book.- Technology Background.- MOS Device and Interconnects Scaling Physics.- Interconnects in ULSI Systems: Cu Interconnects Electrical Performance.- Electrodeposition.- Electrophoretic Deposition.- Wafer-Level 3D Integration for ULSI Interconnects.- Interconnect Materials.- Diffusion Barriers for Ultra-Large-Scale Integrated Copper Metallization.- Silicides.- Materials for ULSI metallization - Overview of Electrical Properties.- Low-? Materials and Development Trends.- Electrical and Mechanical Characteristics of Air-Bridge Cu Interconnects.- ALD Seed Layers for Plating and Electroless Plating.- Deposition Processes for ULSI Interconnects.- Electrochemical Processes for ULSI Interconnects.- Atomic Layer Deposition (ALD) Processes for ULSI Manufacturing.- Electroless Deposition Approaching the Molecular Scale.- Modeling.- Modeling Superconformal Electrodeposition Using an Open Source PDE Solver.- Electrochemical Process Integration.- to Electrochemical Process Integration for Cu Interconnects.- Damascene Concept and Process Steps.- Advanced BEOL Technology Overview.- Lithography for Cu Damascene Fabrication.- Physical Vapor Deposition Barriers for Cu metallization - PVD Barriers.- Low-k Dielectrics.- CMP for Cu Processing.- Electrochemical View of Copper Chemical-Mechanical Polishing (CMP).- Copper Post-CMP Cleaning.- Electrochemical Processes and Tools.- Electrochemical Processing Tools for Advanced Copper Interconnects: An Introduction.- Electrochemical Deposition Processes and Tools.- Electroless Deposition Processes and Tools.- Tools for Monitoring and Control of Bath Components.- Processes and Tools for Co Alloy Capping.- Advanced Planarization Techniques.- Metrology.- Integrated Metrology (IM) History at a Glance.- Thin Film Metrology - X-ray Methods.- Summary and Foresight.- Emerging Nanoscale Interconnect Processing Technologies: Fundamental and Practice.- Self-Assembly of Short Aromatic Peptides: From Amyloid Fibril Formation to Nanotechnology.

The multidisciplinarity of this book makes it an especially valuable tool for those interested in ULSI Interconnects

Includes supplementary material: sn.pub/extras

Date de parution :

Ouvrage de 552 p.

15.5x23.5 cm

Disponible chez l'éditeur (délai d'approvisionnement : 15 jours).

Prix indicatif 158,24 €

Ajouter au panier

Date de parution :

Ouvrage de 552 p.

15.5x23.5 cm

Sous réserve de disponibilité chez l'éditeur.

Prix indicatif 158,24 €

Ajouter au panier